Sfoglia il codice sorgente

Ignore return value of ::write().


git-svn-id: https://svn.microneil.com/svn/CodeDweller/branches/adeniz_1@114 d34b734f-a00e-4b39-a726-e4eeb87269ab
adeniz_1
adeniz 7 anni fa
parent
commit
6554f21d90
1 ha cambiato i file con 2 aggiunte e 1 eliminazioni
  1. 2
    1
      child.cpp

+ 2
- 1
child.cpp Vedi File

@@ -1254,7 +1254,8 @@ namespace CodeDweller {
errMsg = "Error (from exec) running the command \"";
errMsg += execvArgv[0];
errMsg += "\": " + getErrorText();
::write(STDOUT_FILENO, errMsg.data(), errMsg.size());
// Ignore return value of ::write().
(void) (::write(STDOUT_FILENO, errMsg.data(), errMsg.size()) + 1);
exit(-1);
}

Loading…
Annulla
Salva